Problem in running vasp.5.2

Questions regarding the compilation of VASP on various platforms: hardware, compilers and libraries, etc.


Moderators: Global Moderator, Moderator

Post Reply
Message
Author
c00jsh00
Newbie
Newbie
Posts: 7
Joined: Tue Nov 15, 2005 9:01 am

Problem in running vasp.5.2

#1 Post by c00jsh00 » Thu Mar 18, 2010 7:54 am

I compiled vasp.5.2 with Intel ifort 9.1.045 without error, however, running with this input file:

System = diamond Si
ENCUTGW = 150
ISMEAR = 0; SIGMA = 0.05;
NBANDS = 96
LOPTICS = .TRUE.
ALGO= BSE; NOMEGA= 50

the program failed with segment fault:
vasp.5.2.2 15Apr09 complex
POSCAR found : 1 types and 2 ions
LDA part: xc-table for Ceperly-Alder, standard interpolation
POSCAR, INCAR and KPOINTS ok, starting setup
WARNING: small aliasing (wrap around) errors must be expected
FFT: planning ...( 1 )
reading WAVECAR
the WAVEDER file was read successfully
energies w=
0.0 0.0 0.9 0.0 1.7 0.0 2.6 0.0 3.4 0.0
4.2 0.0 5.1 0.0 5.9 0.0 6.6 0.0 7.4 0.0
8.2 0.0 8.9 0.0 9.7 0.0 10.4 0.0 11.1 0.0
11.8 0.0 12.5 0.0 13.3 0.0 14.0 0.0 14.7 0.0
15.4 0.0 16.2 0.0 16.9 0.0 17.7 0.0 18.5 0.0
19.3 0.0 20.2 0.0 21.1 0.0 22.1 0.0 23.1 0.0
24.2 0.0 25.3 0.0 26.6 0.0 28.0 0.0 29.5 0.0
31.2 0.0 33.1 0.0 35.3 0.0 37.8 0.0 40.7 0.0
44.1 0.0 48.3 0.0 53.4 0.0 59.8 0.0 68.2 0.0
79.5 0.0 95.6 0.0 120.4 0.0 163.4 0.0 255.4 0.0
responsefunction array rank= 174
LDA part: xc-table for Ceperly-Alder, standard interpolation
allocating 50 responsefunctions rank= 174
allocating 50 responsefunctions rank= 174
Doing 50 frequencies in blocks of 50
allocating two-electron 4 orbital integral table -1 -1 -1 -1
BSE attempting allocation of 0.000 Gbyte rank= 8
BSE setting up matrix
forrtl: severe (174): SIGSEGV, segmentation fault occurred
Image PC Routine Line Source
vasp 00000000004E9A70 Unknown Unknown Unknown
vasp 00000000009A496C Unknown Unknown Unknown
vasp 00000000009BCA27 Unknown Unknown Unknown
vasp 0000000000426C59 Unknown Unknown Unknown
vasp 000000000040803A Unknown Unknown Unknown
libc.so.6 0000002A95A58AAA Unknown Unknown Unknown
vasp 0000000000407F6A Unknown Unknown Unknown


If the ALGO keyword option BSE is replaced with GWO, the program completes without error:
example@irish2:[1255] /package/chem/workdir/vasp/vasp.5.2/vasp
vasp.5.2.2 15Apr09 complex
POSCAR found : 1 types and 2 ions
LDA part: xc-table for Ceperly-Alder, standard interpolation
POSCAR, INCAR and KPOINTS ok, starting setup
WARNING: small aliasing (wrap around) errors must be expected
FFT: planning ...( 1 )
reading WAVECAR
entering main loop
N E dE d eps ncg rms rms(c)
DAV: 1 0.119195260410E+02 0.11920E+02 -0.11200E+03 576 0.364E+01
DAV: 2 -0.943572053201E+01 -0.21355E+02 -0.19969E+02 696 0.155E+01
DAV: 3 -0.977893244959E+01 -0.34321E+00 -0.34135E+00 656 0.201E+00
DAV: 4 -0.977901997976E+01 -0.87530E-04 -0.87526E-04 672 0.295E-02
DAV: 5 -0.977902000131E+01 -0.21552E-07 -0.21514E-07 536 0.471E-04 0.412E+00
DAV: 6 -0.939932887634E+01 0.37969E+00 -0.51793E-02 640 0.213E-01 0.238E+00
DAV: 7 -0.922259802732E+01 0.17673E+00 -0.91649E-02 640 0.294E-01 0.238E-01
DAV: 8 -0.922352725241E+01 -0.92923E-03 -0.16179E-03 640 0.375E-02 0.386E-02
DAV: 9 -0.922347983744E+01 0.47415E-04 -0.51408E-05 664 0.788E-03
1 F= -.92234798E+01 E0= -.92234798E+01 d E =-.704326E-07
writing wavefunctions
optical routines
imaginary and real dielectric function
direction 1
direction 2
direction 3


I tried to compile vasp with several LAPACK/BLAS libraries (Intel MKL and native LAPACK/BLAS library). but I got the same error.

I wonder if anyone has the similar problem and knows ho to fix it?
Thanks
Last edited by c00jsh00 on Thu Mar 18, 2010 7:54 am, edited 1 time in total.

admin
Administrator
Administrator
Posts: 2921
Joined: Tue Aug 03, 2004 8:18 am
License Nr.: 458

Problem in running vasp.5.2

#2 Post by admin » Mon Mar 22, 2010 3:35 pm

the Bethe-Salpeter eqn. is at an experimental stage (please read the release notes) and therefore not supported yet,
Last edited by admin on Mon Mar 22, 2010 3:35 pm, edited 1 time in total.

Post Reply